Herramienta de software para el desarrollo de descripciones de hardware utilizando VHDL a partir de modelos gráficos

A software tool for the development of graphic-model-based hardware descriptions using VHDL

Authors

  • José Roberto Vargas Rivero Universidad Distrital Francisco José de Caldas

Keywords:

VHDL, ASM, components, tool, XML, hierarchy. (en).

Keywords:

VHDL, ASM, componentes, herramienta, XML, jerarquía. (es).

Abstract (es)

Con el objetivo de agilizar y facilitar el proceso de diseño de hardware se han realizado investigaciones relacionadas con la generación automática de descripciones VHDL a partir de modelos gráficos. En este documento se describe una herramienta de software que permite obtener la descripción VHDL a partir de un diagrama ASM o de un diagrama de componentes. Esta herramienta tiene la característica de que pueden reutilizarse descripciones ya creadas como nuevos componentes y la información contenida en diagramas ASM como parte de nuevos diagramas ASM. La herramienta es utilizada para generar la descripción VHDL de 15 circuitos comunes a partir de sus diagramas de componentes y ASMs, obteniéndose en un 53% de los casos descripciones equivalentes y en el resto de casos aproximadas.

Abstract (en)

With the purpose of making the process of hardware design
quicker and easier, a number of investigations have been conducted concerning the automatic generation of VHDL descriptions based on graphic models. In this document, a software tool that generates VHDL descriptions based on either ASM diagrams or components diagrams will be presented. The characteristics of this tool allow a
VHDL description already created to be reused like a new
component. Additionally, the information contained in an ASM diagram can be reused as part of new ASM diagrams. The tool is used to generate the VHDL description of 15 well-known circuits from both their component diagrams and their ASM diagrams, obtaining an equivalent description in 53% of the cases and approximate descriptions in the remaining cases.

Author Biography

José Roberto Vargas Rivero, Universidad Distrital Francisco José de Caldas

Ingeniero Electrónico de la Universidad Distrital Francisco José de Caldas, de Bogotá, Colombia. Miembro del grupo de investigaciòn LAMIC, Universidad Distrital Francisco Jose de Caldas, Bogota, Colombia.

References

Pong P Chu. (2006). RTL Hardware Design Using VHDL. Jhon Wiley & Sons, Inc. Hoboken, New Jersey. 21, 25,317,357,474,475.

Wood, Steve K, Akehurst David H, Uzenkov Oleg, Howells W, McDonald-Maier, Klaus D. (2008). A Model-Driven Development Approach to Mapping UML State Diagrams to Synthesizable VHDL. IEEE Transactions on Computers. Vol. 57. 1357-1371.

Abdel-Hamid, Mohamed Zaki, Sofiéne Tahar. (2004). A tool converting finite state machine to VHDL. Canadian Conference on Electrical and Computer Engineering. Vol. 4, 2-5. 1907-1910.

Etienne Ogoubi, Jean Pierre David. (2004). Automatic synthesis from high level ASM to VHDL: a case study. The 2nd Annual IEEE Northeast Workshop on Circuits and Systems. 81-84.

Chien-Nan Liu, Jing-Yang Jou. (2000). An Automatic controller extractor for HDL Descriptions at the RTL. IEEE Design & Test of Computers. Vol. 17. 72-77.

Thomas Hadlich. (1997). Proposing graphic extensions to VHDL. VHDL International User’s Forum (VIUF ’97). 109-115.

Enoch O Wang. (2005). Digital Logic and Microprocessor Design with VHDL Brooks/Cole. 80, 84, 110, 116, 118, 185, 210, 271, 367, 368.

Oscar Javier Méndez Zuluaga. (2007). Modificación de ASMs sobre descripciones funcionales de VHDL. Trabajo de grado Universidad Distrital Francisco José de Caldas.

Volnei A Pedroni. (2004). Circuit Design with VHDL. MIT Press. Cambridge Massachusetts. 3.

Open Office. (2010). OpenOffice.org 3.3.0. OpenOffice.org project. 2011. Consultado: http://www.openoffice.org. (7 de marzo, 2010).

Oracle. (2010). Package javax.xml.xpath . Oracle. 2011. Consultado: http://download.oracle.com/javase/1,5.0/docs/api/javax/xml/xpath/package-summary.html. (7 de marzo, 2010).

Pong P Chu. (2008). FPGA prototyping by VHDL examples. Jhon Wiley & Sons, Inc. Hoboken, New Jersey. 109,110,153.

How to Cite

APA

Vargas Rivero, J. R. (2010). Herramienta de software para el desarrollo de descripciones de hardware utilizando VHDL a partir de modelos gráficos. Ingeniería, 15(1). https://doi.org/10.14483/23448393.3632

ACM

[1]
Vargas Rivero, J.R. 2010. Herramienta de software para el desarrollo de descripciones de hardware utilizando VHDL a partir de modelos gráficos. Ingeniería. 15, 1 (May 2010). DOI:https://doi.org/10.14483/23448393.3632.

ACS

(1)
Vargas Rivero, J. R. Herramienta de software para el desarrollo de descripciones de hardware utilizando VHDL a partir de modelos gráficos. Ing. 2010, 15.

ABNT

VARGAS RIVERO, José Roberto. Herramienta de software para el desarrollo de descripciones de hardware utilizando VHDL a partir de modelos gráficos. Ingeniería, [S. l.], v. 15, n. 1, 2010. DOI: 10.14483/23448393.3632. Disponível em: https://revistas.udistrital.edu.co/index.php/reving/article/view/3632. Acesso em: 28 mar. 2024.

Chicago

Vargas Rivero, José Roberto. 2010. “Herramienta de software para el desarrollo de descripciones de hardware utilizando VHDL a partir de modelos gráficos”. Ingeniería 15 (1). https://doi.org/10.14483/23448393.3632.

Harvard

Vargas Rivero, J. R. (2010) “Herramienta de software para el desarrollo de descripciones de hardware utilizando VHDL a partir de modelos gráficos”, Ingeniería, 15(1). doi: 10.14483/23448393.3632.

IEEE

[1]
J. R. Vargas Rivero, “Herramienta de software para el desarrollo de descripciones de hardware utilizando VHDL a partir de modelos gráficos”, Ing., vol. 15, no. 1, May 2010.

MLA

Vargas Rivero, José Roberto. “Herramienta de software para el desarrollo de descripciones de hardware utilizando VHDL a partir de modelos gráficos”. Ingeniería, vol. 15, no. 1, May 2010, doi:10.14483/23448393.3632.

Turabian

Vargas Rivero, José Roberto. “Herramienta de software para el desarrollo de descripciones de hardware utilizando VHDL a partir de modelos gráficos”. Ingeniería 15, no. 1 (May 11, 2010). Accessed March 28, 2024. https://revistas.udistrital.edu.co/index.php/reving/article/view/3632.

Vancouver

1.
Vargas Rivero JR. Herramienta de software para el desarrollo de descripciones de hardware utilizando VHDL a partir de modelos gráficos. Ing. [Internet]. 2010 May 11 [cited 2024 Mar. 28];15(1). Available from: https://revistas.udistrital.edu.co/index.php/reving/article/view/3632

Download Citation

Visitas

642

Dimensions


PlumX


Downloads

Download data is not yet available.
Loading...